《1 Introduction》

1 Introduction

The excimer is an excited dimer that combines with other excimers in an excited state and dissociates in a ground state. The transition of an excimer laser occurs from a bound excited state to a repulsive ground state, which is known as bound-free transition [1]. In the ground state, the excimer is characteristically unstable, and generally decompose into free particles within the vibration relaxation time. In an excited state, the excimer appears in a combined form and is relatively stable, while attenuating in the form of radiation, which means the excimer laser is characterized as high gain [2]. The excimer laser is a high-energy laser light source in the ultraviolet (UV) band and a UV gas laser with a radiation pulse width of tens of nanoseconds. Given its advantages of a high photon energy, short wavelength, and low spatial coherence (not easy to produce interference fringes), the excimer laser is currently the most effective deep ultraviolet (DUV) light source for large-scale industrial production, and it has a wide range of applications in the fields of material processing and scientific research.

As early as 1960, Houtermaus proposed the use of the excimer as a working medium to realize laser oscillation. In 1970, Basov et al. used a high-current electron beam to excite a liquid xenon dimer for the first time to obtain a Xe2 excimer laser output with a wavelength of 172 nm [3]. In the ensuing 50 years, excimer laser technology has developed rapidly, and Kr2 (145.7 nm), Ar2 (126.1 nm), XeO (235 nm), KrO (180 nm), ArO (150 nm), XeBr (282 nm), XeF (351 nm), KrF (248 nm), ArF (193 nm), XeCl (308 nm), KrCl (222 nm), ArCl (175 nm), and other types of laser radiation have been realized [4]. In particular, the development of rare gas halide excimer-laser technology represented by the pre-ionization discharge pumping ArF (193 nm), KrF (248 nm), and XeCl (308 nm) has been rapid, with the commercialization of excimer lasers and their wide use in scientific research, industry, and medical and other related fields [5]. In the 1990s, with the introduction of excimer lasers into the field of semiconductor lithography production, a large number of excimer lasers were produced on industrial production lines, which greatly promoted the high-repetition frequency, narrow linewidth, long life, and high stability of excimer-laser technology. At the same time, other industrial applications, including microstructure processing, material processing, liquid crystal panel annealing, and surface treatment, have also spurred the vigorous development of high-power excimer-laser technology.

In the field of large-scale integrated circuit production, the lithography machine has been the most critical piece of equipment in the production of very large-scale integrated circuits, with the high-performance excimer-laser light source being one of its core components and the key to achieving high-level lithography. It is also one of the key components restricting the development of integrated circuits in China, and is the driving force behind the need to promote the development of lithography technology [6]. At present, there are only two major lithography light source manufacturers in the world, Cymer (now acquired by ASML in the Netherlands) and Gigaphoton in Japan. The imposition of a technology-related trade barrier on China has severely restricted China’s development of integrated circuit manufacturing equipment. Overcoming technology-related trade barriers is a matter of urgency.

In the field of material processing, with the emergence of new or upgraded materials such as composite materials, ceramics, metals, and nanomaterials, higher requirements are placed on the processing quality. To meet the market demand for performance and yield, there is an urgent need to improve the processing controllability, and reduce or prevent processing defects such as a heat-affected zone and subsurface damage. The excimer laser has a low thermal effect, high spatial resolution, high efficiency, no associated pollution, and no layer of subsurface damage [7]. In addition, due to the high absorption rate of most materials to UV lasers [8,9], it represents an ideal light source in the related field of material processing.

《2 Development status and demand analysis of excimer-laser technology in China and around the world》

2 Development status and demand analysis of excimer-laser technology in China and around the world

《2.1 Current international development status and demand analysis》

2.1 Current international development status and demand analysis

For excimer lasers, there are relatively mature commercial products available internationally. The main manufacturers include Coherent (including the acquired Lambda Physik and Tui Laser) and GAM Laser in the United States, Gigaphoton in Japan, ASML in the Netherlands (Cymer), and Lumonics in Canada. Based on the related products produced by current excimer-laser manufacturers, the demand for excimer-laser light sources can be divided into two main categories: to meet lithography requirements for light source with a high repetition frequency, with an extremely narrow spectrum, and extremely high stability; and to meet industrial processing requirements for light sources, i.e., a large single pulse energy and high average power.

For lithography applications, ASML (Cymer) and Gigaphoton provide most excimer-laser products internationally. The power of these products ranges from 10 W to 100 W, the spectral line width from 0.5 pm to 0.1 pm, and the repetition frequency from 2 kHz to 6 kHz. High repetition frequency can improve processing yield, and a narrow linewidth can ensure the fineness of the chip pattern and reduce the influence of chromatic aberration in the system. Therefore, the pursuit of high repetition frequency and narrow linewidth is ongoing in the development of excimer-laser light sources for lithography. Table 1 shows the historical development of ASML’s (Cymer) ArF excimer-laser products.

《Table 1》

Table 1. Historical development of ASML’s (Cymer) ArF excimer-laser products.

To meet the needs of industrial production and scientific research applications, Coherent in the United States mainly provides excimer-laser light sources with power ranging from 10 W to thousands of watts, and single pulse energy from dozens to thousands of mJ. The main application areas include marking applications such as polymer marking, material processing such as fiber grating writing, measurement applications such as combustion diagnosis, surface treatment such as laser annealing, and medical applications such as myopia correction. Excimer lasers for industrial and scientific research generally require high stability and spot uniformity. Taking as an example the application of the laser annealing process in the manufacture of flat panel displays, the energy stability of the excimer laser used has a standard deviation of generally less than 2%.

In terms of material processing and surface treatment, South Korea’s Keon et al. [10] used laser-induced solid-state phase separation of single-crystal silicon carbide to prepare multilayer graphene. Researchers at Kyoto University in Japan [11] used KrF excimer lasers to reduce sidewall roughness and improve tensile strength and the light-transmission performance of a waveguide, with low thermal impact, strong repairability and high quality. Kobayashi et al. in Japan [12] used a 193-nm laser to process the carbon fiber composite material CFRP. The authors reported that the heat-affected zone was at a relatively high level. Due to the minimal impact on the fatigue strength of composite materials and the comprehensive and optimal processing quality and high processing efficiency, Coherent and Gigaphoton [13,14] regard CFRP processing as an important application of excimer lasers, and are studying related light sources and material processing technologies. These applications require greater light source stability, spot uniformity, and positioning accuracy.

《2.2 China’s development status and demand analysis》

2.2 China’s development status and demand analysis

Research on excimer-laser technology in China began in the 1970s [15], with the main research institutes including the Shanghai Institute of Optics and Fine Mechanics (SIOM) of the Chinese Academy of Sciences (CAS), Anhui Institute of Optics and Fine Mechanics (AIOFM) CAS, Changchun Institute of Optics, Fine Mechanics and Physics (CIOMP) CAS, and Tianjin University. The research is mainly focused on XeCl and KrF excimer lasers. Since the 1990s, China’s mostly scientific research on excimer lasers was extended toward their practical application. SIOM and AIOFM have developed a number of laser products and exported them abroad.

Since 2009, with the support of the Major National Science and Technology Project (Project 02), China’s excimer-laser technology has developed rapidly. The Academy of Opto-Electronics (AOE) (CAS), SIOM (CAS), CIOMP (CAS), the Hefei Institutes of Physical Science (CAS), Institute of Optics and Electronics (CAS), Shanghai Micro Electronics Equipment (Group) Co., Ltd. (SMEE), Huazhong University of Science and Technology, and other institutes have participated in research and related projects. Recently, a series of challenges have been overcome with respect to key high-performance excimer-laser core technologies, and the stable operation of high repetition frequency (kHz), high energy (mJ level), and narrow linewidth (sub-pm) excimer lasers was achieved. A prototype excimer system for lithography is currently undergoing a technological upgrade and product-oriented development, and China’s first independent intellectual property system has been established. Fig. 1 shows a comparative analysis of China’s patent applications for inventions related to excimer-laser technology and those submitted by foreign companies in China. It can be seen that prior to 2009, the number of Chinese and foreign applications in China totaled just 7 and 3, respectively. Since 2009, as of March 2018, those numbers had surged to 203 and 106, respectively. Fig. 2 shows the strategic range of China’s work in excimer-laser technology, which is mainly distributed among discharge cavity design, flow-field design, excimer-laser power supply design, electrode design, spectral control, optical element design, and pre-ionization design.

《Fig. 1》

Fig. 1. Comparison of statistics regarding Chinese and foreign patent applications for inventions related to excimer-laser technology in China.

《Fig. 2》

Fig. 2. Strategic range of China’s excimer-laser technology.

China’s research on excimer-laser processing was initiated in the 1980s [16,17]. Many research institutes and companies in China are currently conducting and making certain progress in related technological research. A surface roughness of 4.11 nm on the SiC single crystal was obtained by the Beijing University of Technology [18], which is 83% less rough than before polishing. Shanghai Jiao Tong University [19] has conducted experiments and simulations on the laser-induced crystallization of hydrogenated nano-silicon films, and confirmed process engineering and influencing factors. AOE (CAS) [20] studied the surface treatment of SiC and Al2O3 ceramics and determined the ablation mechanism. Other scientific research institutions, including Tianjin University and the National University of Defense Technology, have also conducted related research.

Although China has enjoyed a series of achievements in its excimer-laser research, there remain deficiencies related to the basic technology, emerging or potential application fields, and derivative technologies.

《3 Analysis of China’s excimer-laser technology development issues》

3 Analysis of China’s excimer-laser technology development issues

《3.1 Shortage of high-end components for high-performance UV lasers》

3.1 Shortage of high-end components for high-performance UV lasers

The high-performance UV-laser light source puts increasingly higher requirements on high-end components. Taking optical and thin-film components as examples, with funding from the Major National Science and Technology Projects, the performance of China-manufactured UV optical and thin-film components has made great progress. These optical thin-film components now meet the required optical and spectral performances of the field. Significant progress has also been made in the damage suppression and lifespan of anti-laser radiation. However, a large number of early research results show a gap between the comprehensive performance of Chinese optical and thin-film components and the actual and potential requirements of high-performance UV lasers. In terms of the application requirements of ultra-high performance UV lasers, the long-term resistance of optical and thin-film components to laser radiation damage must be further improved. The main reasons for this gap are as follows. First, the preparation of optical components involves multiple linked steps such as material growth, surface processing, and application. Many factors in each of these steps affect the performance of the laser radiation, which makes it difficult to identify and investigate the specific influence mechanism. Second, China’s weak foundation in high-end optical component materials and processing poses obstacles to subsequent optical coating work and long-term application evaluation. Lastly, China has not yet established effective technologies and standards for the comprehensive testing and evaluation of high-performance UV-laser optical and thin-film components, which makes it impossible to efficiently optimize the factors affecting the materials, processing, coating, and application of optical components.

《3.2 Weak basic research》

3.2 Weak basic research

China’s current research on excimer lasers involves mature foreign products, and is focused on conducting experiments to solve technical engineering problems. The accumulated knowledge of basic technology is relatively weak.

Taking discharge dynamics as an example, the operational process of the excimer-laser system involves the high-pressure gas discharge plasma excitation of light radiation, and study of the characteristics of the discharge process as the core aspect of the system design. Accurate analysis of the characteristics of the discharge process is critical for optimizing the system. Through simulation, the discharge dynamics of the excimer-laser system can be studied, the composition changes of the working gas predicted in real time, a deeper understanding obtained of the physical process from the ionization of the working gas to the laser radiation, and the key factors clarified. The results can be used to guide the design for optimizing the structural characteristics of the molecular laser system, and thereby improving the accuracy and reliability of the experimental verification of the system operation. As yet, China is in its infancy in its basic research of discharge dynamics, which makes it difficult to guide the development of new technologies and products.

《3.3 Major gap between domestic and international levels of high-end excimer-laser technology》

3.3 Major gap between domestic and international levels of high-end excimer-laser technology

In current and future potential applications, such as VLSI lithography and ultra-fine processing, the requirements for UV laser output parameters are becoming more critical. The requirements for the pulse energy, power, and spectral performance indicators of UV lasers are also escalating. At the same time, their pulse energy and spectral performances must have extremely high stability and controllable change characteristics.

Although China has made a series of breakthroughs in excimer-laser technology, there remains a big gap between its progress and that achieved abroad. The main reasons for this gap include a weak domestic foundation, shortage of talent (especially high-end leading talent), and outdated technology and products (lagging behind the leading level by more than 10 years).

《4 Suggestions for the future development direction of China’s excimer-laser technology》

4 Suggestions for the future development direction of China’s excimer-laser technology

In view of the problems associated with the development of China’s excimer-laser technology and the related technical shortcomings and needs, the main directions suggested for future development are as follows:

《4.1 Basic generic technology research》

4.1 Basic generic technology research

4.1.1 Design, preparation, and characterization of high-performance and high-end DUV components

As an example, we take the preparation of optical components and optical films and their long-term performance stability testing and evaluation. The interaction of high-energy photons with a substance causes the optical components to be more prone to laser damage and performance degradation due to the high single-photon energy of the UV laser in the excimer-laser optical system, which greatly affects the light transmission capacity, performance, stability and life of the UV laser optical system. As such, the preparation of high-performance optical components and optical films is critical, which necessitate the strict selection of materials, optimization of the ultra-precision processing technology of the optical surfaces, optimization of the preparation process of the high-performance films, and evaluation of the impact of the application environment on the radiation resistance.

In addition, the performance evaluation techniques currently used for UV-laser optical and thin-film elements mainly rely on more conventional technologies and methods that do not meet the needs of the high-performance optical and thin-film elements. To meet the requirements of UV-laser optical and thin-film components with respect to their resistance to laser radiation and long-term performance stability, a high-efficiency systematic evaluation scheme must be established based on a combination of short-term evaluation methods of the laser damage threshold and the testing and long-term evaluation of the low-energy laser irradiation using existing testing and evaluation technologies. This can be used as a new technical support for the screening of high-performance CaF2 and other optical materials, the optimization of optical surface ultra-precision processing technology, the optimization of high-performance film preparation technology, and the research and development of techniques for effective environmental impact evaluation.

4.1.2 Basic theoretical and verification research

We must strengthen our work in basic research and verification, such as basic theoretical simulation, basic study of raw material characteristics, and basic analysis of component performance characteristics. Taking discharge dynamics as an example, as noted above, current research on discharge dynamics mainly focuses on the process of the excitation of light radiation by excimer-laser high-pressure gas discharge plasma. These dynamics include the gas mixture ratio, the gas pressure in the cavity, the design of the main electrode, the design of the pre-ionization electrode, the distance between the discharge electrodes, the discharge voltage, and the pre-ionization mechanism. Achieving an optimized design would accelerate the development of new technologies and products, improve the efficiency of new product development, and reduce development costs.

《4.2 Long pulse, high repetition rate, high energy/power technology research》

4.2 Long pulse, high repetition rate, high energy/power technology research

Due to its short wavelength and large single-photon energy, UV lasers have advantages in processing and other fields, but also bring problems such as easily damaged optical components. Increasing the laser pulse width can effectively solve this problem. With respect to practical application requirements, long-pulse UV laser technology is an important research directions, but the pulse width of conventional lasers is limited by factors such as the life of upper-energy-level lasers and the pump power supply, which makes wider laser pulse widths difficult to achieve.

The laser repetition frequency directly affects the processing yield, and they are in proportional relationship. At present, 6 kHz is the highest repetition frequency of the excimer-laser light source for lithography in the world. If we want to increase the processing yield under the same conditions, the laser repetition frequency must continue to be increased. To do so, we must solve a series of problems related to the pump power supply, optical materials, driving fans, flow field, shock wave, and heat dissipation. To respond to future application needs, research must be conducted on methods to obtain laser light sources with a higher repetition frequency, which would enable China to become a leader in this technical field and enable its development of higher-performance laser light sources.

New types of displays are widely used in smart phones, flat panel displays, and other fields, including active matrix liquid crystal displays (AMLCD) and active matrix organic light emitting diode (AMOLED) displays. These represent the cores of next-generation electronic products that are widely used in daily-use electronic consumer products and a variety of industrial fields. These displays have huge market value and represent an important field for national economic and social development. Among them, the preparation of low-temperature polysilicon (LTPS) is one of the most critical processing techniques, and excimer-laser annealing has become the preferred method for preparing effective polysilicon layers in screen manufacturing. The light source generally requires a single-pulse energy of at least several hundreds of mJ. Therefore, it is necessary to conduct research on high energy/power technology so that China can develop core equipment with independent intellectual property rights in this field.

《4.3 Research on emerging or potential application fields and derivative technologies》

4.3 Research on emerging or potential application fields and derivative technologies

Based on the results of recent research, it is necessary to investigate radiation transfer technology with respect to electromagnetic interference, radiation calibration, comprehensive parameter diagnosis, and spectral tuning control for applications such as photoelectric countermeasures and high-precision optical system detection.

To meet emerging or potential application requirements, such as micro-processing manufacturing (including the preparation of multi-layer graphene materials; the cutting and micropore and surface hydroxylation of composite materials such as CFRP; the polishing and modification of hard and brittle ceramic materials such as SiC; the induced crystallization and deposition of nanomaterials; the selective cutting of biological materials; and the micro-processing of devices such as microfluidic chips), high-precision/performance-component surface treatment, and other application fields, we must conduct targeted research on the optimization and related performance of excimer-laser technology to lay a foundation that will enable China to drive the development of new application fields.