《1    工程研究前沿》

1    工程研究前沿

《1.1    Top 10 工程研究前沿发展态势》

1.1    Top 10 工程研究前沿发展态势

信息与电子工程领域 Top 10 工程研究前沿见表 1.1.1,涉及电子科学与技术、光学工程与技术、仪器科学与技术、信息与通信工程、计算机科学与技术、控制科学与技术等学科方向。其中,“智能超表面无线通信”领域基于科睿唯安数据挖掘,经专家研判获得;其他 9 项基于专家推荐,经数据与
专家交互获得。各前沿涉及的核心论文 2015—2020 年发表情况见表1.1.2,其中,“智能超表面无线通信”领域近年来核心论文发表数量增速最为显著,其次为“面向智能计算的存算一体技术”领域。

(1)面向智能计算的存算一体技术

存算一体,顾名思义是将存储和计算融为一体的计算范式,旨在把传统以计算为中心的架构转变为以数据为中心的架构,直接利用存储器进行数据处理。智能计算是实现计算智能系统的计算方法,对计算单元与存储单元之间信息交互能力提出极高要求;基于传统冯·诺依曼架构的计算智能系统,由于计算单元与存储单元分离,存在有效计算时间少和能效比低的问题。存算一体技术有效减少了计算过程中的数据交互,具备解决数据驱动的高效能智能计算需求的最佳方案的潜力,在具体实现上要借助具有存算一体功能的高性能专用芯片。根据存储器介质的不同,目前存算一体芯片的主流研发集中在传统易失性存储器如静态随机存取存储器
(SRAM)、动态随机存取存储器(DRAM),以及非易失性存储器如 NOR Flash 等。近年来非易失性存储器技术,如阻变式存储器(RRAM)、相变存储器(PCM)与自旋磁存储器(MRAM) 等, 为存算一体芯片的高效实施带来新的曙光。存算一体是一项很有潜力的颠覆性技术,中国、美国、欧洲、日本、韩国等国家和地区的科研团队从材料与工艺、芯片电路、计算架构、系统集成、支撑软件等各个层次开展探索性研究;行业主要研究方向包括通用近存计算架构、SRAM 存算一体、DRAM 存算一体、RRAM/PCM/Flash 多值存算一体等。面向智能计算的存算一体技术在智能万物互联(AIoT)领域有广泛应用前景。

《表 1.1.1》

表 1.1.1    信息与电子工程领域 Top 10 工程研究前沿    

序号 工程研究前沿 核心论文数 被引频次 篇均被引频次 平均出版年
1 面向智能计算的存算一体技术 41 766 18.68 2019.1
2 光路与电路混合集成芯片 92 6 831 74.25 2017.6
3 集成微波光子学 169 13 118 77.62 2017.7
4 通用型类脑计算系统 64 5 815 90.86 2017.8
5 自主无人系统智能感知与安全控制 43 2 049 47.65 2018
6 人工智能赋能的系统工程 100 3 804 38.04 2018.4
7 量子智能算法 11 1 393 126.64 2018.3
8 超快亚微米分辨显微成像 28 341 12.18 2017.5
9 多模态自动机器学习 137 11 294 82.44 2018.5
10 智能超表面无线通信 83 6930 83.49 2018.8

《表 1.1.2》

表 1.1.2 信息与电子工程领域 Top 10 工程研究前沿核心论文逐年发表数

 

序号 工程研究前沿 2015 年 2016 年 2017 年 2018 年 2019 年 2020 年
1 面向智能计算的存算一体技术 0 0 2 7 15 17
2 光路与电路混合集成芯片 15 16 17 12 10 22
3 集成微波光子学 17 28 28 36 33 27
4 通用型类脑计算系统 7 9 11 11 14 12
5 自主无人系统智能感知与安全控制 4 5 6 8 10 10
6 人工智能赋能的系统工程 5 10 10 18 27 30
7 量子智能算法 1 1 1 2 3 3
8 超快亚微米分辨显微成像 7 3 2 5 6 5
9 多模态自动机器学习 10 8 14 24 36 45
10 智能超表面无线通信 4 6 7 10 16 40

(2)    光路与电路混合集成芯片

移动互联网、云端计算、自动驾驶等技术的发展,对于数据互联、高性能计算与多模态传感的需求呈指数型上升;而随着摩尔定律临近失效,依赖集成电路工艺演进带来的性能提升趋向饱和,借助于集成光子功能实现微电子芯片的提速、降耗和能力扩展成为后摩尔时代的主要技术路径之一。光路与电路混合集成芯片(后简称光电集成芯片)是指通过封装、键合或单芯片制备的形式,实现光子器件、光子回路或光子片上系统与大规模电路一体化集成的光电混合芯片,其特征在于该类型芯片内部集成有光 – 电 / 电 – 光转换、信息传输、光电混合信号处理等多种功能,在光通信、移动通信、高性能计算、数据中心、量子信息、传感测量、生物医疗等应用上展现出广阔的应用前景。光电集成芯片的主要研究方向包括光电集成材料、光电集成器件、光电集成工艺、光电集成芯片控制方法、光电集成芯片架构、光电协同设计仿真和光电集成芯片的应用研究等。光电集成芯片,特别是基于硅基光电子平台的光电集成芯片,其制造工艺可利用集成电路的产业基础,并借鉴集成电路的生态系统发展经验, 在近 20 年间高速发展。目前,硅基光电集成芯片已建立起商用化设计仿真软件、晶圆厂、封装厂和系统集成的产业基础,并在光传输、光互联、光计算和光传感等领域取得重要应用。光电集成芯片正向光电融合片上系统快速演进,预期光电子和微电子最终会在芯片内部融为一体,形成新的芯片发展方向。然而实现这一愿景还存在许多新兴挑战,借鉴集成电路的发展经验将帮助我们加速这一进程。

(3)    集成微波光子学

微波光子学是利用光学结构和技术来产生、操纵、传输和测量高速微波射频信号的学科。随着感知探测、互联通信等信息系统向一体化和智能化方向快速发展,传统微波技术和电子技术遇到“带宽” 瓶颈。微波光子技术将光子的高带宽、高复用、低损耗与微波的高精细、灵活性、易调控等优势相融合,能够实现仅在微波领域无法实现的各种功能。近年来,光子集成技术的发展将微波光子学推向新高度,通过多种半导体、光学晶体等材料的混合制备工艺,人们得以实现对更强的光与物质相互作用过程的操控,制备出超小型高带宽电光调制器、低噪声频率合成器、分辨率高出传统几个量级的芯片信号处理器等集成微波光子器件,大大减小了微波光子链路尺寸、重量、成本和功耗。另外,成熟的大规模半导体工艺最终可以将光源、放大器、调制器、隔离器和探测器等经典微波光子链路中的关键器件完全集中在单个集成光子处理芯片中,并且在处理复杂信号过程中还可具备与电子芯片相当的多功能与可重构性。集成微波光子技术将统一目前微波光子系统中单元器件的分立形态,通过集成化、芯片化的方式实现最大化的资源优化,支撑未来系统装备形态的多功能一体化,因此被认为是新一代信息系统的颠覆性技术。

集成微波光子学的主要研究方向可分为两类: 一是将光学技术应用于雷达、电子对抗等微波系统中,利用光学系统特有的低损耗、大带宽的巨大优势进行微波信号的传输和处理;二是把各种微波技术应用于光学系统中,促进光通信网络和系统的发展。智能化是信息社会发展的主要趋势,模拟信号与数字信号一样,是未来智能信息系统的重要方式。集成微波光子学的进一步发展将会围绕解决未来雷达和信息系统面临的跨波段、跨尺度、跨材料集成的科学难题展开,当下的前沿研究主要集中在数理模型构建、系统架构创新、功能芯片创新、材料体系与关键工艺突破以及综合能效评估等方面,以充分发挥集成微波光子的大带宽、多功能、高能效的优势特征。整体上看,集成微波光子技术将会是电磁空间一体化和未来第六代移动通信(6G)中的核心技术,同时也是军用领域支撑雷达、通信、电磁战信息系统跨代变革的颠覆性技术。

(4)    通用型类脑计算系统

类脑计算是借鉴生物神经系统信息处理模式和结构的计算理论、体系结构、芯片设计以及应用模型与算法的总称。以类脑计算芯片为核心的各种类脑计算系统正迅速发展,在处理某些智能问题以及低功耗智能计算方面逐步展露出优势。类脑计算芯片研究正从传统通用处理器的设计方法论及其发展历史中汲取灵感,在计算完备性理论基础上结合应用需求实现完备的硬件功能,同时类脑计算基础软件研究也正逐步提出与具体芯片无关的高层次编程抽象与统一开发框架,实现类脑计算系统从“专用” 向“通用”的演进,即研究实现通用型类脑计算系统。

从设计方法角度看,现有多数类脑计算芯片属于“专用”芯片,即通常根据目标应用需求,通过归纳法来确定其硬件功能与接口,定制工具链软件, 这就会带来系统软硬件紧耦合问题,增加了开发难度,而且使得应用难以在不同系统之间移植,对于类脑计算这类跨学科研究而言尤为不利。类脑计算应用领域发展很快,针对已有应用归纳得到的硬件功能与接口,难以确定能否支持层出不穷的新应用, 也难以进行不同系统之间的比较与评测。

研究人员已逐步意识到这类问题,分别从类脑计算完备性理论、完备的硬件功能及相应芯片设计、统一的类脑计算开发框架等方面开展研究。具体地, 在理论方面,借鉴通用计算机的完备性概念与层次结构设计思想,研究提出适用于类脑计算的相关理论与系统结构,这是实现类脑计算系统软硬件去耦合的理论基础;在芯片设计方面,探索在保持类脑智能计算高效能的同时,特别是在充分发挥神经形态电路 / 器件高效处理能力的同时,兼顾功能完备性与应用高效性,为灵活、全面的应用适配能力提供硬件基础;在系统软件方面,通过对应用、芯片的适当抽象与分层,实现统一的应用开发框架,使硬件规格、约束对应用开发“透明”。
类脑计算系统从“专用”向“通用”的转变, 将使参与这一跨学科研究的各类人员能够专注于各自专业领域,显著提升研发效率。这是类脑计算系统快速跨学科发展,形成未来规模产业的关键之一。

(5)    自主无人系统智能感知与安全控制

自主无人系统智能感知与安全控制是指自主无人系统对环境的智能识别、理解和认知,以及对自身运动与行为的可靠安全的控制能力。自主无人系统的感知与控制除了稳定性、跟踪性等常规性能指标要求外,还面临着复杂开放环境、随机动态、博弈对抗等新的挑战,从而催生出新的科学难题和技术手段:① 复杂开放环境要求自主无人系统具备高精度的态势感知技术,能够应对开放、高动态、高密集和大噪声条件下城市场景的实时降噪重建与动态预测难题,现阶段涌现出图像和视频的多层次实例分割、动态障碍物的交互预测、静态环境的实时重建等技术;② 随机动态要求自主无人系统具备安全可信的动态运动规划调度技术,能够应对复杂恶劣环境负载多变条件下导航与控制决策的高维数、强实时性难题,现阶段涌现出多传感器紧耦合融合、基于特征学习的视觉定位、路线冲突管理和自动规划控制等算法;③ 博弈对抗要求自主无人系统具备智能化的协同决策技术,能够应对不确定环境、不完备决策信息、受限制通信情况下多智能体的交互式学习难题,现阶段涌现出多智能体强化学习、生成式对抗网络、分布式鲁棒优化等技术。针对自主无人系统的智能感知与安全控制,下一阶段的重点是构建理论体系、搭建仿真平台、生成测试案例、建立示范工程。

(6)    人工智能赋能的系统工程

人工智能赋能的系统工程,又称智能化系统工程,是指运用人工智能技术革新系统的规划、研究、设计、制造、试验和使用的组织管理方法,使系统的实现和运用呈现出新模式与更优效能。它是随着系统工程智能化和人工智能工程化而产生的新概念及新兴学科。按人工智能技术在系统工程不同阶段的应用,主要分为智能化系统工程建模、智能化系统工程分析、智能化系统工程综合和智能化系统工程仿真等研究方向。

目前,智能化系统工程学科的研究还处于初级阶段,各个分支方向的发展相对独立,现有成果主要针对具体领域中的某个方面,例如特定领域复杂系统的建模与分析 , 全面融合各个层面的技术从而系统性地解决实际复杂系统全流程问题的研究稀少。随着人工智能技术的不断发展,系统工程智能化的实现手段更加多样化,数据驱动的深度学习方法与机理驱动的传统建模优化方法的结合将在深度和广度上进一步拓展,不同层次和方向的技术方法也将深度融合。同时,智能化系统工程技术的应用领域也在不断拓展,为各种复杂系统的研究、设计、制造、试验和运行管理带来更加高效的方式,对于复杂工业产品制造、航空航天装备技术研发等涉及尖端技术领域的发展以及社会系统的高效治理等都具有重要推动作用。智能化系统工程也逐渐呈现出多学科交叉与融合的发展趋势,大数据技术的运用、云计算技术的支撑与特定领域知识自动化相结合的方式将成为系统工程智能化的重要发展样式。

(7)    量子智能算法

量子智能算法是融合了量子计算和经典智能算法的新型算法,能够突破经典智能算法的局限,引起学术界和工业界广泛关注。近期研究揭示:量子支持向量机在寻找支持向量和计算核函数矩阵问题上能提供显著的加速作用;量子神经网络融合了量子计算与神经网络模型的优势 , 能提升神经网络的运算效率以及解决学习模型中数据量大、训练过程慢的困难;量子主成分分析、量子强化学习等算法表现出经典算法无可比拟的优越性。量子智能算法依赖于量子计算机硬件的发展,在现阶段嘈杂中型量子(NISQ)计算机时代下,量子智能算法的理论研究方向主要包括量子智能算法运行平台的开发、参数化量子电路的量子卷积神经网络、量子对抗生成神经网络、量子智能算法的鲁棒性和可攻击性等。当前,国际商业机器公司(IBM)、谷歌、微软、Rigetti 等美国科技企业领跑量子计算机物理系统、体系结构、应用软件以及智能算法等研发。中国的科技企业,如阿里巴巴、腾讯、华为、百度、京东、字节跳动、本源量子等也已布局量子智能计算产业。可以预见,未来数年 NISQ 计算机专用量子处理器将出现杀手级应用,量子智能也将进入应用探索活跃期,并在生物医药、金融科技、材料化工、军工等行业中扮演重要角色。

(8)    超快亚微米分辨显微成像

超快亚微米分辨显微成像指时间分辨率小于1ns、空间分辨率小于1 μm 的精密光电子成像技术,它是探索微观物质世界的重要工具之一。超快时间分辨光谱技术可以探究飞秒 / 皮秒时域内物质的光动力学响应过程及其机理;而现有的微纳显微技术仅可测量空间分辨率,缺乏时间分辨率信息。超快显微成像技术是超快激光光谱技术与显微成像技术的结合,可以满足物理学、化学、材料科学、生物医学等领域对解析微纳尺度上发生的超快光动力学过程的迫切需求。根据显微成像原理不同,可分为三大主要方向:超快光学显微技术、超快扫描探针技术和超快电子显微技术。超快光学显微技术利用超短激光脉冲与光学显微镜相结合,实现对超快微观过程的实时探测,其技术相对成熟,仪器成本低, 但空间分辨率受光学衍射极限影响。超快扫描探针技术利用超快激光脉冲与扫描探针显微镜(扫描电子显微镜、原子力显微镜、近场扫描光学显微镜等) 相结合,可满足高空间分辨率和超快时间分辨的要求,但现有技术仍未完全成熟,仪器成本也更高。超快电子显微技术利用超短激光脉冲照射样品产生的光电子发射实现对微观现象的探测,其空间分辨率可达纳米级,时间分辨率可达约 100 fs,但现有仪器成本非常昂贵,技术尚待进一步完善。

在材料科学领域,超快亚微米显微成像技术可用于解析纳米材料载流子动力学的空间分布与微观形貌之间的关联规律,有助于深入理解材料宏观物理性质的起源。在生命科学领域,超快亚微米显微成像技术可用于研究肿瘤标志物的分布规律及其演变特性,以及纳米药物在活体细胞中的能量转移过程,对于新药开发和疾病治疗有重要价值。

随着激光技术和探测器不断发展,超快显微成像技术将朝着波长更短(深紫外到 X 射线)、空间分辨率更高(约 100 nm)、采样灵敏度更高(单个分子灵敏度)、采样速度更快(>1 000 fps)的方向发展。

(9)    多模态自动机器学习

多模态机器学习旨在通过机器学习的方法实现处理和理解多源模态信息的能力;多模态学习从 20 世纪 70 年代起步,经历了几个发展阶段,在
2010 年后全面步入深度学习阶段。通俗来说,模态指的是“某件事情发生或者被感知到的方式”, 比如视觉或触觉。当研究问题包含多个这样的模态时,它就被称为多模态问题。多模态机器学习主要关注 3 种形式 : 既可写也可说的自然语言、通常用图像或视频表示的视觉信号、编码声音和诸如韵律及声音表达等副语言信息的声音信号。

多模态机器学习的主要挑战在于数据的异质性。当前,多模态机器学习有 5 个主要研究方向:① 多模态表示学习,即利用多模态之间的互补性, 剔除模态间的冗余性,从而学习到更好的特征表示, 多模态数据的异构性使得构造这样的表示具有挑战性,例如语言是具有高度抽象语义的符号,而音频和视频则是信号;② 模态转化,即将一种模态的信息转化为另一种模态的信息,例如机器翻译、语音翻译、图片描述等;③ 模态对齐,即在来自同一分析对象的不同模态的内部组件之间寻找对应关系,例如将一部电影与其字幕进行关联对齐;④ 多模态融合,即通过融合多模态的信息执行目标预测,包括模式识别、语义分析等任务,例如在语音识别任务中,往往通过语音信号、语法语义特征乃至唇部动作的融合数据来提高识别的精确性;⑤ 协同学习,即借助信息丰富的模态数据,辅助信息较为匮乏的另一个模态上的机器学习,当一种模态的监督学习样本很少时,协同学习能够有效解决这一问题。

随着深度学习的流行,工程师需要选择相应的神经网络架构、训练过程、正则化方法、超参数等等,所有这些都对算法的性能有很大影响。多模态机器学习的神经网络架构往往更为复杂,参数优化空间较大,人工进行算法设计决策较为困难。多模态自动机器学习的目标就是使用自动化的数据驱动方式做出上述决策。其主要研究方向包括:① 自动特征工程,即自动从原始数据中抽取任务相关的典型特征;② 自动模型选择及超参数优化,即寻找最适于解决任务问题的机器学习算法模型,并自动确定模型的预置超参数;③ 神经网络结构搜索, 即预设一定的候选神经网络结构作为搜索空间,基于特定的评价函数和搜索策略,自动发现应用于机器学习任务的最佳神经网络架构。

多模态自动机器学习的主要发展方向包括:研究针对多模态任务和多目标问题的自动机器学习算法;研究更加灵活的参数空间搜索变量表示,寻找便于模态间迁移的表示方式;挖掘更多的、有难度的评价函数;加强多模态间迁移学习的研究,进一步提高自动机器学习的效率。

(10)    智能超表面无线通信

智能超表面无线通信是基于智能超表面自由调控无线环境及构建新系统架构的通信体制。智能超表面是一种具有可编程电磁特性的人工表面结构, 由信息超材料技术发展而来。智能超表面通常由大量精心设计的电磁单元排列组成,通过给电磁单元的可调元件施加控制信号,能动态控制电磁单元的电磁性质,进而以现场可编程的方式对空间电磁波进行主动的智能调控,形成幅度、相位、极化和频率等参数可实时控制的电磁场。这一机制构建了智能超表面的电磁物理世界和信息科学的数字世界之间的桥梁,对未来无线网络的发展极具吸引力。

智能超表面具有低成本、低能耗、可编程、易部署的特点,在 6G 候选技术中脱颖而出。目前主要研究方向集中在:① 将智能超表面部署在无线传输环境中各类物体的表面,构建智能可编程的无线环境,包括覆盖增强、容量提升、安全通信、干扰抑制、无线能量传输以及辅助定位感知等应用;
② 利用智能超表面将基带信息直接调制至射频载波的特征,可构建全新体制的阵列式发射机架构, 有望降低硬件复杂度和成本。智能超表面无线通信的未来发展趋势主要包括:超表面硬件架构与调控算法、智能环境通信新理论和智能超表面基带算法、无线网络新架构以及原型系统测量验证等。

《1.2    Top 3 工程研究前沿重点解读》

1.2    Top 3 工程研究前沿重点解读

1.2.1    面向智能计算的存算一体技术

存算一体的基本概念最早可追溯至 20 世纪 70 年代。斯坦福国际咨询研究所的 Kautz 等最早于1969 年就提出“存算一体计算机”的概念。早期的存算一体受限于芯片设计复杂度、制造成本以及缺少杀手级大数据应用等问题,仅仅停留在研究阶段。2012 年以来智能计算领域快速发展的深度神经网络算法,将传统算法以计算为核心的规则打破,转而产生以数据为核心的计算需求;冯·诺依曼经典计算机架构规划的存储与计算单元分离的布局出现严重的性能和功耗瓶颈,同期出现的“摩尔定律危机”使这一情况加速恶化。在此背景下,存算一体技术成为学术界研究热点并进入产业化快车道。由于深度神经网络等智能计算既是计算密集型应用,也是数据密集型应用,其对于增加硬件算力和提升存储访问带宽有着更加迫切的需求。曾在20 世纪 90 年代黯然消退的存算一体概念被人工智能计算架构设计者重新启用,用来缓解或消除传统冯·诺依曼架构造成的性能瓶颈和低能效等问题。在智能计算场景中,应用范围最广的深度神经网络算法中 95% 以上的运算为向量矩阵乘法(MAC), 存算一体主要用来加速这部分运算;文献资料显示, 相比冯·诺依曼体系结构 , 存算一体范式可以用 5% 左右的功耗实现 50 倍以上的速率提升。在 2017 年微处理器顶级年会(Micro 2017)上,英伟达、英特尔、微软、三星等公司以及苏黎世联邦理工学院、加利福尼亚大学圣塔芭芭拉分校等分别推出存算一体系统原型。

存算一体技术按照数据表达方式可划分为数字型架构、模拟型架构和数模混合型架构,按照实现的基础器件结构可以划分为通用近存计算架构、SRAM 存算一体、DRAM 存算一体、RRAM/ PCM/Flash 多值存算一体和 RRAM/PCM/MRAM 二值存算一体 5 个类别。近几年学术界研究热点集中在 RRAM 实现的存算一体方面,杜克大学、普渡大学、斯坦福大学、马萨诸塞大学、南洋理工大学、惠普公司、英特尔公司、美光科技有限公司都发布了相关测试芯片原型。产业界的创业热点则集中在 NOR Flash 的存算一体芯片方面,美国的神话
(Mythic)公司、Syntiant 以及中国的北京知存科技有限公司、合肥恒烁半导体有限公司都推出了可以量产商用的产品。此外,近年研究者开始探索将事物感知、存储和计算高度融合以实现智能计算, 例如通过后道工艺增加二元金属氧化物、钙钛矿、聚合物和有机材料,形成带有感知能力的存算一体单元,进一步减少系统延时、提高性能和节省功耗, 未来可应用于包括计算机视觉、触觉感觉神经元系统和语音识别等众多领域。

“面向智能计算的存算一体技术”工程研究前沿中核心论文的主要产出国家分布情况见表1.2.1。中国、美国研究基础雄厚,核心论文产出分居第一、二位。中国的国际合作对象主要是美国(见图1.2.1)。排名前十的核心论文主要产出机构(见表 1.2.2)中,中国4 家研究机构上榜。机构合作方面(见图1.2.2),中国的 3 家研究机构相互间合作紧密,且都与德国亚琛工业大学存在合作关系。施引核心论文数量(见表 1.2.3)方面,中国占比为 34.99%,比排名第二的美国高出 10.25 个百分点;排名前十的施引核心论文产出机构中,前六家均来自中国(见表 1.2.4), 表明中国对该主题关注度很高。

1.2.2    光路与电路混合集成芯片

光路与电路混合集成芯片目前没有公认的技术方案和研究路线图,世界范围内的研究者围绕工艺器件、设计软件和系统应用等各层级寻求技术突破或解决方案。光电集成芯片概念的提出至今已有20 多年历史,前期主要致力于将无源电路与光子器件在单芯片实现。由于缺少电路中的高速率晶体管和微波器件,早期的光电集成芯片仅能实现简单的光电信号转换或光复用功能。大规模集成电路与光路的集成,是当前光路与电路混合集成芯片的标志;封装集成或单芯片集成海量的光电子单元器件, 使类似于微电子芯片的多功能、系统级集成成为可能。为实现大规模光电集成芯片,需要从工艺、器件、设计和应用四方面突破,因此以下对于本领域的技术前沿解读也从这四方面展开。

《表 1.2.1》

表 1.2.1 “面向智能计算的存算一体技术”工程研究前沿中核心论文的主要产出国家

序号 国家 核心论文数 论文比例 被引频次 篇均被引频次 平均出版年
1 中国 14 34.15% 161 11.5 2019.6
2 美国 12 29.27% 309 25.75 2019
3 瑞士 6 14.63% 149 24.83 2019.3
4 希腊 3 7.32% 39 13 2019
5 德国 2 4.88% 77 38.5 2019
6 印度 2 4.88% 35 17.5 2017.5
7 阿联酋 2 4.88% 7 3.5 2019
8 法国 1 2.44% 20 20 2018
9 日本 1 2.44% 12 12 2019
10 英国 1 2.44% 11 11 2020

《表 1.2.2》

表 1.2.2 “面向智能计算的存算一体技术”工程研究前沿中核心论文的主要产出机构

序号 机构 核心论文数 论文比例 被引频次 篇均被引频次 平均出版年
1 IBM 苏黎世研究实验室 4 9.76% 137 34.25 2019.5
2 苏黎世联邦理工学院 4 9.76% 31 7.75 2019.2
3 西安交通大学 3 7.32% 82 27.33 2019.7
4 佐治亚理工学院 3 7.32% 41 13.67 2019.7
5 深圳大学 2 4.88% 78 39 2019
6 德国亚琛工业大学 2 4.88% 77 38.5 2019
7 中国科学院 2 4.88% 71 35.5 2019.5
8 希瓦吉大学 2 4.88% 35 17.5 2017.5
9 电子科技大学 2 4.88% 21 10.5 2020
10 佩特雷大学 2 4.88% 19 9.5 2019.5

《图 1.2.1》

图 1.2.1 “面向智能计算的存算一体技术”工程研究前沿主要国家间的合作网络

《图 1.2.2 》

图 1.2.2 “面向智能计算的存算一体技术”工程研究前沿主要机构间的合作网络

《表 1.2.3》

表 1.2.3 “面向智能计算的存算一体技术”工程研究前沿中施引核心论文的主要产出国家

序号 国家 施引核心论文数 施引核心论文比例 平均施引年
1 中国 232 34.99% 2020.2
2 美国 164 24.74% 2020
3 韩国 60 9.05% 2020.1
4 德国 36 5.43% 2020.5
5 瑞士 33 4.98% 2020.4
6 英国 29 4.37% 2020.4
7 印度 27 4.07% 2019.7
8 法国 23 3.47% 2020
9 新加坡 20 3.02% 2020.2
10 意大利 20 3.02% 2020.5

《表 1.2.4》

表 1.2.4 “面向智能计算的存算一体技术”工程研究前沿中施引核心论文的主要产出机构

序号 机构 施引核心论文数 施引核心论文比例 平均施引年
1 中国科学院 39 16.39% 2019.8
2 清华大学 35 14.71% 2019.8
3 华中科技大学 24 10.08% 2019.8
4 复旦大学 24 10.08% 2019.8
5 深圳大学 19 7.98% 2020
6 西安交通大学 18 7.56% 2019.9
7 苏黎世联邦理工学院 17 7.14% 2020
8 德国亚琛工业大学 16 6.72% 2020
9 普渡大学 16 6.72% 2019.8
10 佐治亚理工学院 15 6.30% 2019.7

第一,在光电集成芯片工艺方面,主要路径分为单片集成和封装集成。单片集成主要基于硅基CMOS 和 SiGe BiCMOS 工艺,在晶圆制备流程中在同一衬底上实现光路和电路的单片制备;封装集成则采用不同工艺,将光路和电路分别集成在不同芯片上,最后采用 2D/2.5D/3D 封装工艺混合集成为芯粒。该方向主要研发机构包括英特尔、格罗方德半导体股份有限公司、台湾积体电路制造股份有限公司、Tower、意法半导体集团、IHP、日本产业技术综合研究所等。芯片工艺能力的具备,是支撑整个光路与电路混合集成芯片发展的基础,也决定了此领域的自主可控程度;而综合性能、产能和成本等多方面考虑,光电集成芯片的工艺节点、集成路线选择仍存在争议。

第二,在光电集成芯片材料和器件方面,集成电路已相对成熟,主要研究工作集中在光子和光电子器件上。目前,硅基和磷化铟(InP)基光器件实用化水平较高,部分芯片的功能、性能、批量制造能力都已达到商用要求,但在激光器、调制器、探测器的功耗和尺寸上还存在较大改进空间。该方向主要研发机构包括加利福尼亚大学圣塔芭芭拉分校、微电子研究中心、英特尔、德国费劳恩霍夫通信技术研究所、日本 PETRA、新加坡微电子研究所、中国科学院等。硅基和 InP 基路线将在相当长时间内并行发展,短期内 InP 基芯片在性能和成熟度方面占优,未来在通信速率、集成度和需求量不断提升的背景下,硅基光电集成芯片可能有较大发展空间。

第三,在光电集成芯片设计工具方面,与集成电路芯片类似,光电集成芯片的设计也朝着标准化、模块化、光电协同的方向演进。国际上,楷登电子、新思科技、明导、ANSYS 公司等厂商已通过并购或合作等方式,建立起光电协同设计垂直整合的电子设计自动化(EDA)软件和仿真工具。中国由于产业基础较为薄弱,目前仅有少数公司关注该领域, 尚无成熟的光电子集成芯片设计工具可用。光电一体化设计软件将是光路与电路混合集成的重要助推力,是实现系统级芯片集成的核心技术。
第四,光电集成芯片的应用较为广泛:面向光通信,光电集成芯片通过封装集成为标准化、小尺寸的光模块,实现高传输速率和大规模下低成本; 面向高性能计算,通过光电合封(CPO)或单片集成形成光电收发与计算引擎,实现高密度、低延时互联和高通量处理;面向多模态传感,光路和电路通过异质键合、三维集成构造感存算一体化芯粒,实现以三维图像为代表的实时感知和处理。此外, 在量子通信和量子计算、人工智能和神经网络、生物检测、微波光子技术、光学传感等领域,光电集成芯片也陆续验证了其超高速、超小型化、超大集成度等显著优势。该方向被美国、欧洲、日本、中国等国家和地区视作后摩尔时代新型芯片的重点攻关方向,已获得政府和产学研各界的高额投入。需要引起注意的是,当前美国在光路与电路混合集成芯片领域,不仅论文数量和引用量领先于中国,其研发重点已从器件级研发转向系统级芯片集成。

“光路与电路混合集成芯片”工程研究前沿中核心论文的主要产出国家分布情况见表 1.2.5。美国和中国优势明显,核心论文产出分居第一、二位。美国的国际合作对象主要是中国和德国(见图1.2.3)。排名前十的核心论文主要产出机构(见表 1.2.2)中, 美国和欧洲各 4 家,中国 1 家,加拿大 1 家。在机构合作方面(见图 1.2.4),美国和欧洲几家研究机构间合作紧密,麻省理工学院和斯坦福大学对外合作交流最为活跃。施引核心论文数量(见表 1.2.7) 方面,第一名中国占比 31.65%,第二名美国占比25.00%,其他国家均低于 7%;排名前十的施引核心论文产出机构中,4 家来自中国,3 家来自美国(见表 1.2.8),体现中美两国对该前沿关注度很高。

《表 1.2.5 》

表 1.2.5 “光路与电路混合集成芯片”工程研究前沿中核心论文的主要产出国家

序号 国家 核心论文数 论文比例 被引频次 篇均被引频次 平均出版年
1 美国 40 43.48% 3 303 82.58 2017.8
2 中国 22 23.91% 1 512 68.73 2017.4
3 英国 12 13.04% 848 70.67 2017.5
4 德国 11 11.96% 643 58.45 2018.2
5 瑞士 11 11.96% 466 42.36 2018.4
6 加拿大 10 10.87% 901 90.1 2017.4
7 西班牙 8 8.70% 608 76 2017.6
8 比利时 7 7.61% 513 73.29 2017
9 法国 6 6.52% 321 53.5 2017
10 澳大利亚 5 5.43% 434 86.8 2017

《表 1.2.6 》

表 1.2.6 “光路与电路混合集成芯片”工程研究前沿中核心论文的主要产出机构

序号 机构 核心论文数 论文比例 被引频次 篇均被引频次 平均出版年
1 麻省理工学院 10 10.87% 1 406 140.6 2017.3
2 中国科学院 8 8.70% 490 61.25 2016.9
3 苏黎世联邦理工学院 7 7.61% 310 44.29 2018
4 渥太华大学 5 5.43% 648 129.6 2017
5 根特大学 5 5.43% 430 86 2016.8
6 瓦伦西亚理工大学 5 5.43% 429 85.8 2018.8
7 美国国家标准与技术研究院 5 5.43% 302 60.4 2018.4
8 斯坦福大学 5 5.43% 289 57.8 2019.2
9 加利福尼亚大学伯克利分校 4 4.35% 756 189 2017
10 明斯特大学 4 4.35% 321 80.25 2018.2

《图 1.2.3 》

图 1.2.3 “光路与电路混合集成芯片”工程研究前沿主要国家间的合作网络

《图 1.2.4》

图 1.2.4 “光路与电路混合集成芯片”工程研究前沿主要机构间的合作网络

《表 1.2.7 》

表 1.2.7 “光路与电路混合集成芯片”工程研究前沿中施引核心论文的主要产出国家

序号 国家 施引核心论文数 施引核心论文比例 平均施引年
1 中国 1 919 31.65% 2019.2
2 美国 1 516 25.00% 2018.8
3 英国 414 6.83% 2018.9
4 德国 378 6.23% 2019
5 加拿大 327 5.39% 2019
6 法国 314 5.18% 2018.6
7 澳大利亚 291 4.80% 2018.6
8 日本 273 4.50% 2018.8
9 俄罗斯 227 3.74% 2018.7
10 瑞士 207 3.41% 2018.9

《表 1.2.8》

表 1.2.8 “光路与电路混合集成芯片”工程研究前沿中施引核心论文的主要产出机构

序号 机构 施引核心论文数 施引核心论文比例 平均施引年
1 中国科学院 314 21.96% 2018.9
2 麻省理工学院 182 12.73% 2018.6
3 华中科技大学 145 10.14% 2019
4 浙江大学 115 8.04% 2019.3
5 悉尼大学 109 7.62% 2018.1
6 苏黎世联邦理工学院 101 7.06% 2019
7 斯坦福大学 97 6.78% 2019.1
8 根特大学 95 6.64% 2018.5
9 上海交通大学 94 6.57% 2019.2
10 美国国家标准与技术研究院 91 6.36% 2019

1.2.3    集成微波光子学

微波光子学在过去 30 年里受到科研界和工业界密切关注,其丰富的处理带宽、低损耗的光纤传输方式、可实现复杂调控功能的操控灵活性, 是这项技术早期发展的核心驱动力。目前,全球的前沿研究成果主要集中在美国、中国、俄罗斯、欧盟和澳大利亚等国家和地区,其中,美国因研究基础雄厚在前沿研究进展方面处于第一梯队。特别地,中国在集成微波光子领域起步几乎与国际同步,近年来在前沿成果发表数量上仅次于美国,排名全球第二。有代表性的进展和突破包括:超宽带信号的产生、光纤中射频信号的分配和传输、可编程的微波光子学滤波器、光子增强雷达系统等。微波光子学逐渐发展成为一个解决通信领域挑战的主要技术方案。目前来看,用于雷达和通信系统的微波光子器件与功能依旧分立,在提升核心器件线性度等性能指标方面,还面临诸多基础科学问题和技术挑战。

伴随着光子集成技术的迅速同步发展,两个领域的结合产生深刻影响,诞生了集成微波光子学。2007 年,Nature Photonics 发表综述文章 , 指出微波光子学融合了两个世界,发展微波光子学具有重要意义。2019 年,Nature Photonics 发表新的综述文章 , 指出集成是微波光子学未来发展方向。集成微波光子技术使得在保持微波光子系统相当高复杂度的同时极大减小了系统尺寸,可实现超宽带频谱范围和更大瞬时带宽,提供足够多频率自由度和更多功能、更快速率、更小体积,总体能效高,功耗低,并且有望克服分立器件中的损耗、串扰问题, 提高器件线性度等指标。这使得微波光子系统相比射频电路更具优势,未来有望实现导通探(测)、感存算等功能的动态灵活复用与并行处理。

集成微波光子学发展趋势如下:

1)    在系统架构方面,发挥微波光子技术的优势,规避目前尚未成熟的集成技术导致的微波光子器件体积、重量大等问题,充分发挥其大带宽、易复用、低损耗长距离传输等性能优势。例如,澳大利亚在基于微波光子技术的机载综合电子信息系统中,通过系统架构顶层优化设计,实现了分布式、侦察、导航、探测等多种功能的一体化集成,大幅提高了信息系统的带宽,减轻了整机系统的体积、重量和功耗,提升了系统应用的灵活性。

2)    在功能单元方面,目前雷达、电子对抗、网络通信都在向拓宽时域、频域、空域的方向快速发展,在已有系统架构下研究宽带滤波、变频、波束形成、频综、模数转换等高性能功能单元,以替换原有微波电子功能单元,大幅提升现有信息系统的性能。例如,俄罗斯基于高性能微波光子集成雷达前端等信息处理技术,将传统成像雷达分辨率提升一个量级。中国中科院电子所、中电十四所、中电三十八所、南京航空航天大学等单位基于微波光子单元创新,实现了微波光子雷达的外场试验验证,使传统雷达的带宽和成像分辨率明显提升;中电二十九所基于微波光子波束形成网络实现了频谱侦测能力跨代变革。 

3)    在异质异构光子芯片集成方面,突破材料间的兼容和匹配性问题,实现多物理场的低损耗耦合,提升单元器件效率,加强工艺创新提升工艺容差,探索石墨烯等碳基新材料器件。例如,德国报道了基于准分子直写技术将异构波导损耗降低至 1 dB 以下。2018 年,美国哈佛大学报道了带宽大于 70 GHz 的宽带薄膜铌酸锂调制器,将半波电压、带宽等关键技术指标大幅提升。中国山东晶正公司、中国科学院上海微系统研究所突破了硅基铌酸锂大失配异质材料晶圆键合技术。中国科学院半导体研究所、中山大学、华中科技大学等单位相继突破了铌酸锂波导刻蚀工艺瓶颈,制备出可覆盖 S-ka 波段的铌酸锂薄膜调制芯片。
“集成微波光子学”工程研究前沿中核心论文的主要产出国家与机构分布情况分别见表 1.2.9 和表 1.2.10。美国微波光子学研究基础雄厚,核心论文数占全球的近一半,主要产出机构包括斯坦福大学、加州理工学院和哈佛大学;中国的相关研究成果数量仅次于美国,核心论文数占全球的约 23%, 主要产出机构为中国科学院、香港城市大学和电子科技大学;加拿大、澳大利亚、荷兰、瑞士平分秋色, 主要产出机构有悉尼大学、皇家墨尔本理工大学、代尔夫特理工大学和洛桑联邦理工学院。主要国家间的合作情况如图 1.2.5 所示,主要集中在中国、美国、加拿大和澳大利亚之间。机构间合作方面, 除去中国 3 家机构为主的合作外,主要是皇家墨尔本理工大学与中国 3 家单位有较为密切的合作,见图 1.2.6。在施引核心论文方面,中国和美国仍然为主要产出国家,分别占 31.29% 和 26.36%,见表1.2.11。其中,中国科学院施引比例为 19.84%,为全球最多的机构,其次为美国国家标准与技术研究院、加州理工学院和华中科技大学,3 家机构施引比例均为 10% 左右,见表 1.2.12。

《表 1.2.9》

表 1.2.9 “集成微波光子学”工程研究前沿中核心论文的主要产出国家

序号 国家 核心论文数 论文比例 被引频次 篇均被引频次 平均出版年
1 美国 80 47.34% 7 162 89.53 2017.8
2 中国 43 25.44% 3 070 71.4 2018.1
3 加拿大 25 14.79% 1 517 60.68 2018
4 澳大利亚 22 13.02% 1 460 66.36 2017.8
5 荷兰 18 10.65% 1 900 105.56 2017.7
6 瑞士 15 8.88% 1 065 71 2017.5
7 德国 13 7.69% 737 56.69 2017.9
8 俄罗斯 11 6.51% 476 43.27 2018.5
9 法国 8 4.73% 933 116.62 2017.1
10 西班牙 8 4.73% 804 100.5 2017.2

《表 1.2.10》

表 1.2.10 “集成微波光子学”工程研究前沿中核心论文的主要产出机构

序号 机构 核心论文数 论文比例 被引频次 篇均被引频次 平均出版年
1 中国科学院 16 9.47% 707 44.19 2018.1
2 香港城市大学 13 7.69% 1 074 82.62 2018.8
3 斯坦福大学 11 6.51% 562 51.09 2019.5
4 加州理工学院 10 5.92% 1 038 103.8 2017.5
5 悉尼大学 10 5.92% 765 76.5 2017.1
6 皇家墨尔本理工大学 10 5.92% 447 44.7 2018.7
7 电子科技大学 10 5.92% 389 38.9 2018.9
8 哈佛大学 9 5.33% 1 154 128.22 2018.3
9 代尔夫特理工大学 9 5.33% 1 113 123.67 2017.1
10 洛桑联邦理工学院 9 5.33% 683 75.89 2017.6

图 1.2.5 “集成微波光子学”工程研究前沿主要国家间的合作网络

图 1.2.6 “集成微波光子学”工程研究前沿主要机构间的合作网络

《表 1.2.11》

表 1.2.11 “集成微波光子学”工程研究前沿中施引核心论文的主要产出国家

序号 国家 施引核心论文数 施引核心论文比例 平均施引年
1 中国 3 198 31.29% 2019.4
2 美国 2 694 26.36% 2019
3 德国 677 6.62% 2019.2
4 英国 631 6.17% 2019.1
5 法国 531 5.20% 2019.1
6 加拿大 462 4.52% 2019
7 澳大利亚 447 4.37% 2018.9
8 日本 441 4.32% 2019.1
9 瑞士 403 3.94% 2018.9
10 俄罗斯 381 3.73% 2019.1

《表 1.2.12》

表 1.2.12 “集成微波光子学”工程研究前沿中施引核心论文的主要产出机构

序号 机构 施引核心论文数 施引核心论文比例 平均施引年
1 中国科学院 438 19.84% 2019.3
2 美国国家标准与技术研究院 232 10.51% 2019
3 加州理工学院 205 9.28% 2018.5
4 华中科技大学 205 9.28% 2019.1
5 麻省理工学院 187 8.47% 2018.9
6 浙江大学 174 7.88% 2019.4
7 苏黎世联邦理工学院 161 7.29% 2019.2
8 清华大学 161 7.29% 2019.2
9 上海交通大学 151 6.84% 2019.3
10 南京大学 150 6.79% 2019.5

《2    工程开发前沿》

2    工程开发前沿

《2.1    Top 10 工程开发前沿发展态势》

2.1    Top 10 工程开发前沿发展态势

信息与电子工程领域 Top 10 工程开发前沿见表 2.1.1,涉及电子科学与技术、光学工程与技术、仪器科学与技术、信息与通信工程、计算机科学与技术、控制科学与技术等学科方向。其中,“高分辨毫米波雷达 4D 成像技术”“无人集群系统自主运行与协同控制技术”“柔性机器人系统与控制技术”“基于深度学习的医学图像分析”“可信智能系统攻防技术”这 5 项经德温特专利数据库分析提炼,经专家研判获得,其余 5 项来自专家推荐。各开发前沿涉及的核心专利 2015—2020 年公开情况见表 2.1.2。

(1)    芯粒设计与芯片三维堆叠系统集成技术

芯粒(chiplet)是指具有特定功能且带有标准互联接口的裸芯片。芯片三维堆叠系统集成技术是通过多种微机械加工技术,在单个芯片内部以类似“搭积木”方式,将一些预先生产好的具有不同功能、工艺、材质和厂商的芯粒或其他元件,按长、宽、高 3 个维度“堆叠”的方式,构成集成度更高、功能更复杂的系统级元器件。
相比于电路板,芯粒集成方式在产品尺寸、性能、功耗等方面更具优势,迎合电子系统小型化、

《表 2.1.1》

表 2.1.1    信息与电子工程领域 Top 10 工程开发前沿 

序号 工程开发前沿 公开量 引用量 平均被引数 平均公开年
1 芯粒设计与芯片三维堆叠系统集成技术 399 1 292 3.24 2017.6
2 高分辨毫米波雷达 4D 成像技术 469 3 670 7.83 2017.5
3 超快激光跨尺度微纳制造技术 439 2 978 6.78 2017.3
4 无人集群系统自主运行与协同控制技术 587 5 313 9.05 2017.6
5 多模态超分辨率活体成像仪器 246 2 203 8.96 2016.9
6 柔性机器人系统与控制技术 457 1 874 4.1 2017.6
7 基于深度学习的医学图像分析 523 4 163 7.96 2018.5
8 多功能集成光处理器 411 3 054 7.43 2017
9 可信智能系统攻防技术 449 1 870 4.16 2018.1
10 集成电路综合布局布线设计智能化技术 219 332 1.52 201

   

《表 2.1.2》

表 2.1.2 信息与电子工程领域 Top 10 工程开发前沿核心专利逐年公开量

序号 前沿名称 2015 2016 2017 2018 2019 2020
1 芯粒设计与芯片三维堆叠系统集成技术 80 52 56 62 60 89
2 高分辨毫米波雷达 4D 成像技术 66 76 77 107 110 33
3 超快激光跨尺度微纳制造技术 77 71 88 93 79 31
4 无人集群系统自主运行与协同控制技术 44 79 162 130 146 26
5 多模态超分辨率活体成像仪器 54 55 41 57 29 10
6 柔性机器人系统与控制技术 48 82 60 121 113 33
7 基于深度学习的医学图像分析 3 13 77 137 189 104
8 多功能集成光处理器 88 79 78 90 62 14
9 可信智能系统攻防技术 34 57 81 66 104 107
10 集成电路综合布局布线设计智能化技术 23 26 30 41 54 45

轻量化的发展需求。相比于传统单片集成,芯粒集成可以在成熟产品和工艺技术的基础上,为特定应用需求快速定制设计并制造具有针对性的芯片产品,具有设计周期短、研发风险低、良率可控性好等特点,被视为“后摩尔时代”支撑半导体产业持续发展的重要基础技术之一。
芯粒设计与芯片三维堆叠系统集成技术发展的关键在于突破多芯粒协同设计方法学、量产可重复使用的芯粒、设计芯粒间互联标准和接口、实现高密度封装集成工艺、定制可靠性测试标准和方法等, 形成从设计、制造到集成、测试的全流程标准化工业体系。
未来,以芯粒为基础的三维堆叠系统集成将创造数字、射频、光电等不同类型器件单元在芯片级深度融合的条件,进而形成“超级”异构微系统,可以为集成电路产业带来更多的灵活性和发展机会。

(2)    高分辨毫米波雷达 4D 成像技术

高分辨毫米波雷达 4D 成像技术旨在通过毫米波雷达发射波长为 1 ~ 10 mm 的电磁波,根据回波获取目标的距离、方位、俯仰角和相对速度,得到目标的高分辨三维形状以及速度信息,即 4D 成像。毫米波雷达的天气和光线鲁棒性非常好,由于波长较小,毫米波雷达有更窄的波束,角分辨能力和测角精度相比普通雷达更高。由于工作频率高, 可得到大的信号带宽(如吉赫兹量级)和多普勒频移,有利于提高距离和速度的测量精度与分辨能力, 并能分析目标特征。基于毫米波的 4D 高分辨成像将有非常大的应用空间。
高分辨毫米波雷达 4D 成像的主要技术方向包括以下三方面。① 提升分辨率,即雷达区分物体的能力,其直接决定了 4D 成像效果。② 大视场无模糊。根据多传感器融合冗余需求以及自动驾驶功能的驱动,4D 成像技术需要满足至少 90°的大视角。传统雷达角度测量有多义性,即一个目标可能计算出多个角度方向,4D 成像技术通过天线排布和信号处理优化,实现角度无模糊,准确识别目标。③ 提升点云密度。点云密度越高,4D 成像对环境的刻画效果越好。

高分辨毫米波雷达 4D 成像技术有三大发展趋势:① 无人驾驶汽车,随着 L3 及以上高级自动驾驶的快速发展,对环境感知精准度要求越来越高,4D 高分辨毫米波雷达成像技术是汽车无人驾驶技术的前沿之一;② 无人机无人驾驶技术,4D 成像技术可大大提升无人机的空间态势感知能力;
③ 生命体征监测,由于毫米波可以穿透衣物且对人体无害,该技术可用于进行生命体征监测。

(3)    超快激光跨尺度微纳制造技术

超快激光一般是指脉冲宽度短于 10 ps 的皮秒激光和飞秒激光 , 超快激光的脉冲宽度极窄、能量密度极高、与材料作用的时间极短,超快激光加工具有超强、超快、超精密的特性,是制造技术领域的前沿方向之一。超快激光与材料相互作用,能够改变材料的物态和性质,可实现微米至纳米跨尺度的控形与控性,代表性的技术手段有飞秒激光直写、双光子聚合、干涉光刻、激光诱导表面纳米结构和纳米颗粒等。此外,超快激光跨尺度微纳制造在航空航天器表面功能微纳结构(抗结冰、减阻、抗反射结构等)和新能源微型器件(微电池、微电容等) 制造方面均有显著优势。
超快激光跨尺度微纳制造技术涉及两大核心问题——确保超快激光制造过程中的纳米尺度的制造精度以及微纳米跨尺度多级结构制造能力,涉及机械学、物理学、化学、生物学、材料科学、信息科学等多学科的交叉与融合。未来研究方向主要包括:
① 发展完备的理论模型用于描述超快激光与材料相互作用,研究超快激光时/空/频域光场调控对材料电子动态和性质的影响机制与规律;② 揭示纳米尺度与纳米精度下加工、成形、改性和跨尺度制造中的尺度效应、表面/ 界面效应等规律;③ 阐明物质结构演变机理及其与器件功能的联系,探索制造过程由宏观进入微观时能量、结构和性能间的作用机理与转换规律,最终建立超快激光跨尺度微纳制造技术理论基础、工艺装备和精确表征与计量方法。

(4)    无人集群系统自主运行与协同控制技术

无人集群系统自主运行与协同控制技术通常指由太空无人系统、空中无人系统、地面无人系统、海上无人系统和水下无人系统等组成的同构或异构跨域协同系统,通过引入人工智能相关技术实现自主协同感知、决策和控制,进而实现无人集群系统智能自主运行和协同控制,以高效完成任务。相关技术可以使群集系统以低成本、高度分散的形式进行跨域协同作业,通过去中心化自组网实现信息共享、抗干扰和自愈,实现分布式协同控制与优化,进而提高无人集群系统整体运行效率和安全应急处理能力。主要技术方向包括无人集群系统环境协同感知与理解、多源信息共享与融合、协同任务规划与决策、协同避障与安全控制,以及分布式智能优化技术等。无人集群系统环境协同感知与理解主要包括集群系统的传感器配置优化、高精度环境地图构建、动态目标协同检测、多目标协同跟踪等技术。多源信息共享与融合主要包括多源异构传感器信息时空对准、非完备信息的智能融合、分布式通信自组网等技术。协同任务规划与决策主要包括协同任务分配、协同路径规划、协同自主决策、任务效能评估等技术。协同避障与安全控制主要包括障碍物的在线识别、协同避障规划与控制、干扰通讯受限等复合影响下的安全协同控制等技术。分布式智能优化主要包括分布式优化算法设计、收敛性证明、复杂性分析等技术。未来发展趋势包括:① 无人集群系统将由传统的数个无人系统拓展至几十甚至成百上千个无人系统,其运行与协同控制的效能、实时性和全局优化能力需进一步提高;② 无人集群系统主要从事非结构化环境下的复杂对抗性任务,对具有学习能力、自演化、自组织能力的自主运行与协同控制方法需求更为强烈;③ 无人集群系统在多任务领域下进行异构跨域运行与协同控制,其一体化技术和抗攻击的能力需进一步强化;④ 无人集群系统自主运行与协同控制的健康管理是保证安全稳定运行的基础,无人集群系统健康特征集构建、健康度与效能评估、故障的网络传播机理与隔离等相关技术需进一步研究。

(5)    多模态超分辨率活体成像仪器

多模态超分辨率活体成像仪器是应用多种影像学技术,能够在活体组织的分子和细胞水平上显示生物学过程的仪器。活体动物实验可以帮助理解人体的生命活动和运行机制,是进行基础病理学研究、药理学研究、临床实践应用的重要实验手段,在肿瘤、神经、心血管、免疫系统、传染病、基因治疗、靶向药物等多个研究领域都发挥着不可替代的作用。近年来,随着物理学、数学和工程技术的发展,计算机断层扫描(CT)、磁共振(MR)、正电子发射断层扫描(PET)、单光子发射断层扫描(SPECT)、荧光显微成像等多种活体成像技术得到长足发展。但是,单一模态活体成像技术针对不同的生理病变在某些分子机理上可能有严重的相似和重叠,所以多模态超分辨率活体成像仪器如 microPET/CT、microPET/ MR、microSPECT/CT 等应运而生,实现了对生物学行为在影像方面定性和定量的研究,为活体动物研究提供了强有力工具。
多模态超分辨率活体成像仪器的发展趋势如下:

1)    由双模态向更多模态发展。以 microPET/ CT 为代表的双模体活体成像仪器,多年实验应用的结果已证明其 1+1>2 的效果,但是在实验应用上仍然存在着较大局限性,如 PET 显示的代谢活动异常可能由癌症和炎症引起,仅用 PET 追踪葡萄糖代谢不能将这两种疾病区分开来,如能加上SPECT 影像,会有助于区分疾病的分子机理。所以, 多模态超分辨率活体成像仪器需要从双模态向三模态、四模态等更多模态发展,利用 CT、PET、SPECT、光学成像等多种成像技术各自优势,实现多个针对不同生物标记物的探针影像,能够提供多重补充信息,极大地扩展多模态超分辨率活体成像仪器的应用范围。

2)    向更高图像质量、更高物质区分能力发展。由于动物和组织尺寸的原因,超高分辨率一直是活体成像仪器的应用需求。此外,更高灵敏度和更高信噪比,例如 microCT 系统使用光子计数检测器,能够提供多种能谱信息,从而提高系统灵敏度, 并能得到具有多种物质对比度的高清结构影像。

3)    向更智能、更高效的方向发展。利用前沿通信技术、自动化、云服务与人工智能,将更有力地促使多模态技术在生命科学领域的广泛应用,促进药物开发、癌症机理研究、基因 / 免疫 / 细胞疗法等方面的研究。
因此,非常有必要打造超高空间分辨率和超高灵敏度的小动物智能临床前 microPET/SPECT/ 光学 / 能谱 CT 多模态成像系统。通过自动化和人工智能赋能,实现所有高性能影像模块的无缝融合, 使之成为 1+1+1+1>>4 的影像设备。此 4 种模块可以根据科研用户的实际情况自由组合拼装,这将为科研用户提供大量生物代谢信息和结构信息,极大地激发科研热情。

(6)    柔性机器人系统与控制技术

目前机器人面临的一项核心挑战是与自然界安全交互以及在非结构化环境下作业。软体机器人具备柔顺性与大变形能力,可高效、安全地与非结构化环境和自然界生物交互。柔性机器人系统与控制技术主要针对传统刚性体机器人难以胜任的人机交互、医疗康复、特种作业等场景,利用新的软体驱动与感知、柔性结构与材料、建模与控制方法实现这些场景下的任务。在仿生灵感的指导下,柔性机器人实现了抓取、爬行、跳跃、滚动、游动等多种仿生运动,在人机交互、医疗康复、特种作业等领域有诸多潜在应用。柔性机器人具有无限的被动自由度和非线性的材料特性,其精确实时控制是一项十分有挑战性的工作。目前柔性机器人控制的主要研究方向有:① 柔性驱动传感一体化集成, 旨在满足软体机器人所需的多模态感知、高延展率(>100%)、集成芯片(如功放、感知、计算、通信芯片等)的柔性驱动与电路一体化研究;② 柔性大变形运动学与动力学建模,旨在集成多模态传感的柔性电路的软体机器人的传感反馈控制,并探索非结构化环境柔性体机器人作业的动力学建模;③ 柔性人机交互关键技术,旨在通过柔性的穿戴与感知实现人体与软体机器人的交互。未来发展趋势包括:① 利用机器学习算法优化多模态柔性传感器的分布与数据处理,提高柔性机器人的环境认知能力;② 发展面向控制的柔性机器人动力学建模理论,实现模型精确性与计算高效性的平衡;③ 提升柔性机器人的物理智能以降低计算和控制成本,更好地实现人机交互。

(7)    基于深度学习的医学图像分析

随着医学影像技术在临床的广泛应用,医师数量的低速度增长无法满足快速增长的医学图像分析需求,与人们对健康生活的向往相矛盾。在此背景下,深度学习技术迅速发展成为医学图像分析的研究热点。有别于现有的手工或者经典特征提取,深度学习通过构造多层神经网络,可自动提取医学大数据中隐含的深层疾病诊断特征。近年来,深度学习技术被广泛用于 CT、磁共振成像(MRI)、PET、超声像和 X 射线和病理像等医学图像的分析, 主要任务包括医学图像的分类、检测、分割、配准、检索、图像重建和增强等,并在疾病的定量和定性分析中展示出较高精准性和时效性。
基于深度学习的医学图像分析应以临床实际需求为导向。其主要研究方向包括 3 个方面:① 发展精准、快速的深度学习技术,提高临床诊断效率, 减轻临床医生负担,减少误诊;② 基于深度学习技术克服当前医学成像技术的瓶颈,对医生难以判断的疾病做出定量、定性分析;③ 推动深度学习技术本身在临床应用的可解释性,保证深度模型在复杂数据环境下依然保持稳定的性能。

(8)    多功能集成光处理器

多功能集成光处理器通过微纳光学加工和硅光子加工,将多个不同类型的无源和有源光器件在单个芯片维度上集成,以实现不同的计算功能。相比于电处理器,光处理器以其高并行、低功耗、高带宽等特点,逐渐成为后摩尔时代新型计算研究的主流方向之一,结合光电混合计算架构,在一些专用场景下可发挥独特优势。
多功能集成光处理器按计算实现原理可分为数字光处理器和模拟光处理器两类。由于数字光处理器的性能严重依赖于基础操作单元集成度,受限于光器件集成度劣势以及逻辑操作实现的困难性, 当前依然难以展现竞争力。而近年来模拟光处理器直接利用光的物理过程匹配特定计算功能,逐渐成为主流研究方向。在模拟光处理器实现技术方面,当前以麻省理工学院提出的马赫曾德尔干涉仪(MZI)阵列向量矩阵乘加器为主流方向,此外还有基于微环的crossbar 架构光处理器。相比 MZI 阵列,光 crossbar 在规模和成熟度上较低,但具备更好的数据加载通用性。在应用方面,集成光处理器以卷积神经网络计算加速为主。光蓄水池计算处理器近年来也逐渐成为研究热点,以日本电报电话公司(NTT)为主的研究单位基于 MZI 阵列结合时分方式,构建了大规模节点的光蓄水池处理器。此外,用于伊辛模型求解的光处理器也是值得关注的方向。

(9)    可信智能系统攻防技术

可信智能系统攻防技术是指针对现有智能系统面临的广泛安全与隐私风险,分析发现智能系统的安全威胁,构建针对性的防御措施,提升智能系统的可信性。主要技术方向包括:利用模型脆弱性或数据扰动等方式的攻击技术,以及基于面向模型或者面向数据思想的防护技术。其中,针对可信智能系统的攻击技术主要包括对抗学习、后门攻击、数据偷窃、模型偷窃等。它们主要利用智能系统中模型和数据的脆弱性来实施攻击,以达到诱导智能系统做出误判(对抗学习、后门攻击)或者泄露隐私数据或高价值模型(数据偷窃、模型偷窃)等目的。在攻击目标与场景多样化的同时,当前攻击技术也呈现出一些新的趋势,例如仅依靠真实世界外部扰动(如仅用激光笔干扰自动驾驶系统等)来实现对智能系统中模型的攻击。针对可信智能系统的防御技术可分为面向模型和面向数据两类。其中,面向数据的防御方法主要包括基于恶意噪声检测与过滤的对抗学习和后门攻击防护、基于恶意访问检测或随机化预处理的隐私数据偷窃和模型重构偷窃攻击防护等;而面向模型的主要防御方法是基于模型可靠性增强的对抗学习防护,通过这种技术来实现更高的安全性是当前趋势。

(10)    集成电路综合布局布线设计智能化技术集成电路综合布局布线设计智能化技术是指在

集成电路设计中使用基于人工智能技术的 EDA 工具,完成逻辑综合及物理实现任务。主要技术方向包括逻辑综合、布局、时钟树综合、布线等。逻辑综合指将寄存器转换级(RTL)代码映射到标准单元库中的元件所构成的门级电路的过程;布局技术解决如何确定数亿个标准单元在给定芯片面积上的合理位置的问题,同时考虑线长、时延、可布性、功耗、可制造性等优化目标;时钟树综合指时钟网络在物理版图上的实现,主要采用 H 树、平衡树以及脊椎状时钟网技术;布线技术完成单元间线网的物理连接,确定连线在不同布线层上的走线与通孔位置,在满足设计规则的前提下,优化所有线网的总线长、关键线网时延、通孔数及冗余通孔添加、电迁移、串扰噪声、多次图形曝光技术相关可制造性等指标。未来发展趋势有 3 点:① 逻辑综合与布局布线的深度智能化融合,在综合阶段就考虑到各种物理效应,从而提升设计的芯片设计指标(PPA)和收敛性;② 设计更适合分布式高性能计算与异构计算的新算法,加速综合布局布线的过程, 减少芯片的设计周期;③ 采用人工智能及机器学习的理论与技术,围绕集成电路物理设计中多目标多约束优化关键问题,研究基于机器学习的物理设计多参数多目标模型;应用该模型研究基于机器学习的智能化布局布线技术;在此基础上进一步提炼并建立面向前端设计的后端物理参数预估模型,提高 EDA 全流程的智能化和收敛性。

《2.2    Top 3 工程开发前沿重点解读》

2.2    Top 3 工程开发前沿重点解读

2.2.1    芯粒设计与芯片三维堆叠系统集成技术

在摩尔定律推动下,传统芯片平面加工等比例微缩的技术路线在技术难度和经济成本双重天花板的压力下已难以为继,目前行业内仍通过特征尺寸微缩来实现芯片性能提升的方法已成为少数几个玩家的游戏。将多个裸芯片在单个封装内部以三维 / 准三维堆叠的方式进一步集成已成为推动芯片集成度持续提升的必然选择。
基于芯粒的设计最大优势是可以根据设计需求集成不同工艺节点的成品硅片,从而快速开发低成本、高可靠的新产品。这首先要求有大量成熟可靠的芯粒产品可供选择,必然推动在传统的 IP 供应商和芯片供应商之外衍生出专门化的芯粒供应商。目前由于缺乏这样的供应商,基于芯粒的设计还主要在英特尔、AMD 等美国头部芯片设计公司中使用。

以芯粒构成系统级芯片在传统的芯片设计之外增加了新的维度,包括功能划分、工艺选择、互联设计、多物理场仿真等。为了充分利用好新增加维度,需要有新的设计方法学和辅助设计工具。目前三大 EDA 厂商中的 Cadence 和 Synopsys 均有新的辅助设计工具布局,目前已开始商用。

基于芯粒的设计必然依赖于在有限空间内集成更多裸芯片,三维堆叠系统集成技术是实现芯粒设计的基础。如表 2.2.1 所示,目前“芯粒设计与芯片三维堆叠系统集成技术”工程开发前沿中核心专利的主要产出国家专利总公开量为 399(113/28.32%)件,其中美国和中国专利分别公开了 113 件。这表明芯粒设计与芯片三维堆叠系统集成技术的主要研发活动集中在美国和中国。但美国专利被引数及比例远高于中国专利,同行业被认可程度更高,在一定程度上可以说明美国在该方面的技术研发水平领先中国。

图 2.2.1 为“芯粒设计与芯片三维堆叠系统集成技术”工程开发前沿主要国家间的合作情况。如图 2.2.1 所示,美国作为该领域的技术先进地区处于合作的中心,其中中国与美国之间合作强度最高。新加坡虽然核心专利数量较少,但是和日本、韩国、美国都达成了合作,说明新加坡在该领域的某项技术具有较高的技术价值。值得注意的是,中国的技术合作伙伴较为单一,只与美国达成技术合作,存在技术限制的风险。

表 2.2.2 为“芯粒设计与芯片三维堆叠系统集成技术”工程开发前沿中核心专利的主要产出机构。其中,中国台湾地区的台湾积体电路制造股份有限公司(以下简称台积电)核心专利公开量为 116, 数量远超其他企业,同时专利平均被引数排名第二。综合来看,台积电在芯粒设计与芯片三维堆叠系统集成技术领域的技术水平处于同行业领先地位。排名前十的机构中,有 5 家来自中国,3 家来自美国。另外需要注意的是,英国英维斯公司专利数量虽然较少,但是平均被引数最高,说明该企业的某项专利技术较为核心,被其他技术进一步参考。

图 2.2.2 为“芯粒设计与芯片三维堆叠系统集成技术”工程开发前沿主要机构间的合作情况。合作的只有美国两家公司,其他主要机构还是以自主研发为主。

总而言之,基于芯粒的设计作为一种新的芯片设计模式,是整个微电子集成电路产业发展的新方向,可以为产业发展带来更多灵活性和发展机会。目前技术还处于分散发展的初始阶段,各个国家和地区也在积极布局。

《表   2.2.1》

表   2.2.1   “芯粒设计与芯片三维堆叠系统集成技术”工程开发前沿中核心专利的主要产出国家

序号 国家 公开量 公开量比例 被引数 被引数比例 平均被引数
1 美国 113 28.32% 448 34.67% 3.96
2 中国 113 28.32% 109 8.44% 0.96
3 韩国 16 4.01% 21 1.63% 1.31
4 日本 4 1.00% 6 0.46% 1.5
5 瑞典 2 0.50% 8 0.62% 4
6 新加坡 2 0.50% 2 0.15% 1
7 瑞士 2 0.50% 1 0.08% 0.5
8 德国 1 0.25% 0 0.00% 0
9 法国 1 0.25% 0 0.00% 0
10 印度 1 0.25% 0 0.00% 0

《表   2.2.2》

表   2.2.2   “芯粒设计与芯片三维堆叠系统集成技术”工程开发前沿中核心专利的主要产出机构

序号 机构 国家 公开量 公开量比例 被引数 被引数比例 平均被引数
1 台湾积体电路制造股份有限公司 中国 116 29.07% 619 47.91% 5.34
2 国际商业机器公司(IBM) 美国 23 5.76% 77 5.96% 3.35
3 英特尔公司 美国 21 5.26% 71 5.50% 3.38
4 中国电子科技集团公司 中国 13 3.26% 8 0.62% 0.62
5 英国英维斯公司 英国 8 2.01% 58 4.49% 7.25
6 美国格罗方德半导体股份有限公司 美国 8 2.01% 7 0.54% 0.88
7 台湾矽品精密工业股份有限公司 中国 7 1.75% 22 1.70% 3.14
8 三星电子公司 韩国 7 1.75% 18 1.39% 2.57
9 中芯国际集成电路制造 ( 上海 ) 有限公司 中国 7 1.75% 6 0.46% 0.86
10 江苏师范大学 中国 7 1.75% 1 0.08% 0.14

《图 2.2.1》

图 2.2.1 “芯粒设计与芯片三维堆叠系统集成技术”工程开发前沿主要国家间的合作网络

《图 2.2.2》

图 2.2.2 “芯粒设计与芯片三维堆叠系统集成技术”工程开发前沿主要机构间的合作网络 

2.2.2    高分辨毫米波雷达 4D 成像技术

高分辨毫米波雷达 4D 成像技术由目前流行的车载 3D 雷达技术演变而来。3D 雷达可实现对目标距离、方位角、速度的三维探测;4D 雷达是在3D 雷达的基础上,通过垂直阵列,实现俯仰角测量, 即 4D 雷达可以探测物体相对于雷达的距离、方位角、俯仰角和速度,是目前无人驾驶技术领域的前沿技术。对于汽车无人驾驶技术,在 L2+/L3 以上级别,雷达传感器的数量较 L1 级别进一步增多, 对传感器性能的要求也大幅提升,而到了L3+ 级别则需要 4D 成像技术。高分辨毫米波雷达 4D 成像技术将首先出现在豪华轿车和自动驾驶出租车上, 由于视场角较宽,4D 高分辨毫米波雷达可以观测到路边的障碍物(通常,传统雷达仅限于可行驶区域),还能探测到较小目标,比如矿泉水瓶、轮胎碎片等,以及被遮住一部分的行人或骑行者,可以确定他们是否在移动、向哪个方向移动;此外基于多传感器融合,可以将摄像头和激光雷达“引导” 到潜在风险区域,这将大大提高安全性能。此外, 4D 雷达能向各个方向发出密集信号,可以在车内工作,对儿童和成人进行分类,监测生命体征,以及探测乘客位置,可用于优化安全气囊部署,优化安全带的张紧器,提供安全带警告,并发现车内或周围的闯入者。综合来看,高分辨毫米波雷达 4D成像技术是未来汽车无人驾驶的关键技术。对无人机而言,其需要对三维空间的障碍物进行成像观测, 传统的二维雷达只能检测前、后方向,相比而言, 高分辨毫米波雷达 4D 成像技术可以大大提升无人机的空间态势感知能力。

高分辨毫米波雷达的天气和光线鲁棒性非常好,激光雷达造价昂贵,扫描速度慢,在雨雾、沙尘的天气情况下无法正常使用,摄像头对光线则会比较敏感,因此高分辨毫米波雷达 4D 成像技术的另一重要性在于:结合自身优势,将四维信息和三维、二维信息融合,成为多个传感器的融合平台, 可与其他传感器如相机和激光传来的数据融合,得到更丰富、更精确的信息。4D 毫米波雷达的分辨率越高,点云密度越大,融合效果越好。

该前沿主题核心专利主要产出国家、主要产出机构及主要国家间、机构间的合作网络分别见表 2.2.3、表 2.2.4 和图 2.2.3、图 2.2.4。从表 2.2.3可看出,中国在核心专利公开量方面远高于其他国家总和,被引数方面仅次于美国。从表 2.2.4 可看出,核心专利主要产出机构,中国机构占比最多, 总公开量达到 80。从图 2.2.3、图 2.2.4 可看出, 美国与以色列合作较多,机构方面,除日本电产株式会社与株式会社 WGR 有合作外,其他主要机构间无合作关系。

《表 2.2.3》

表 2.2.3 “高分辨毫米波雷达 4D 成像技术”工程开发前沿中核心专利的主要产出国家

序号 国家 公开量 公开量比例 被引数 被引数比例 平均被引数
1 中国 306 65.25% 1 141 31.09% 3.73
2 美国 84 17.91% 1 649 44.93% 19.63
3 日本 30 6.40% 193 5.26% 6.43
4 德国 23 4.90% 142 3.87% 6.17
5 韩国 15 3.20% 35 0.95% 2.33
6 以色列 3 0.64% 497 13.54% 165.67
7 英国 3 0.64% 12 0.33% 4
8 卢森堡 3 0.64% 12 0.33% 4
9 丹麦 1 0.21% 3 0.08% 3
10 意大利 1 0.21% 3 0.08% 3

《表 2.2.4》

表 2.2.4 “高分辨毫米波雷达 4D 成像技术”工程开发前沿中核心专利的主要产出机构

序号 机构 国家 公开量 公开量比例 被引数 被引数比例 平均被引数
1 西安电子科技大学 中国 25 5.33% 114 3.11% 4.56
2 谷歌公司 美国 20 4.26% 518 14.11% 25.9
3 中国航天系统科学与工程研究院 中国 15 3.20% 37 1.01% 2.47
4 日本电产株式会社 日本 14 2.99% 119 3.24% 8.5
5 电子科技大学 中国 14 2.99% 37 1.01% 2.64
6 株式会社 WGR 日本 13 2.77% 105 2.86% 8.08
7 中国电子科技集团公司 中国 11 2.35% 30 0.82% 2.73
8 德国英飞凌半导体制造公司 德国 10 2.13% 27 0.74% 2.7
9 浙江大学 中国 8 1.71% 45 1.23% 5.63
10 北京航空航天大学 中国 7 1.49% 38 1.04% 5.43

《图 2.2.3》

图 2.2.3 “高分辨毫米波雷达 4D 成像技术”工程开发前沿主要国家间的合作网络

《图 2.2.4》

图 2.2.4 “高分辨毫米波雷达 4D 成像技术”工程开发前沿主要机构间的合作网络

 2.2.3    超快激光跨尺度微纳制造技术

超快脉冲激光的一大特点是脉宽非常小,脉冲宽度小于 10−11 s,是无比短暂的闪光。超快激光具有超高功率密度、较低的烧蚀阈值、加工超精细及可实现冷加工等特点,受到国际学术界和工程界的广泛关注,其中超快激光跨尺度微纳制造技术是制造的前沿之一,涉及机械、光学、化学、材料等多学科交叉融合,广泛应用于航空、新能源、通信、传感、仿生、集成电路等领域。皮秒脉冲激光的产生可追溯至 20 世纪 60 年代,随后有学者发现飞秒激光加工的烧蚀区几乎无热影响区,而超快激光带来的多光子吸收现象还可用于对透明材料的无损清洗。90 年代初期,啁啾脉冲放大技术得到迅速发展,该技术能在不破坏光学元器件的情况下进一步提高激光峰值功率,大大降低了超快激光使用门槛,研究者提出超快激光表面微结构制备、透明材料 3D 打印等技术。随着啁啾脉冲放大技术的逐渐成熟,超快激光跨尺度微纳制造技术为工程学、材料学、生命科学等前沿交叉学科提供了崭新的制造手段。目前,超快激光加工在脆性材料加工市场如手机屏异形切割、手机摄像头蓝宝石盖板切割、特殊材料标记、隐形二维码打标、高性能柔性电路板(FPC)切割、有机发光二极管(OLED)材料切割打孔以及太阳能钝化发射极和背面电池技术(PERC)电池加工等领域已取得广泛应用。

超快激光跨尺度微纳制造技术跨越了几纳米到几百微米等多个尺度,是重要先进制造技术之一。近年来,以几飞秒至亚皮秒脉冲宽度、数百千赫兹至兆赫兹高重复频率以及数十瓦乃至上百瓦高平均功率为典型特征的新一代超快激光快速发展 , 有望解决加工质量、加工精度与加工效率之间的固有矛盾。此外,以往的制造技术局限于原子、分子及以上层面的观测或性能调控,以超快激光为工具的超快化学的诞生使电子层面的观测与调控成为可能, 有望突破现有的制造原理与制造方法。最后,激光与材料相互作用是一个复杂的非线性、非平衡、多尺度过程,研究超快激光过程的光场调控对材料电子动态和性质的影响,建立完备的理论模型也是目前的发展趋势之一。

“超快激光跨尺度微纳制造技术”的核心专利主要产出国家、主要产出机构及主要国家间、机构间的合作网络分别见表 2.2.5、表 2.2.6 和图 2.2.5、图 2.2.6。核心专利公开量方面,中国占比超过一半, 而美国则在被引数方面占据领先地位,以 20% 左右的专利数量获得接近 50% 的引用(见表 2.2.5)。核心专利主要产出机构方面,美国科希伦激光公司和康宁公司专利公开量并驾齐驱,中国则有 6 家机构位列前十,其中 5 家为高校;被引数和平均被引数方面,美国的 3 家公司遥遥领先(见表 2.2.6)。国家间合作集中在美国与中国和加拿大( 见图2.2.5)。主要机构间合作不够密切,主要体现在中国的几家机构之间(清华大学与北京理工大学,西安交通大学与英诺激光股份有限公司)。

《表 2.2.5》

表 2.2.5 “超快激光跨尺度微纳制造技术”工程开发前沿中核心专利的主要产出国家

序号 国家 公开量 公开量比例 被引数 被引数比例 平均被引数
1 中国 253 57.63% 864 29.01% 3.42
2 美国 88 20.05% 1 461 49.06% 16.6
3 德国 36 8.20% 246 8.26% 6.83
4 日本 23 5.24% 200 6.72% 8.7
5 韩国 13 2.96% 44 1.48% 3.38
6 英国 5 1.14% 60 2.01% 12
7 瑞士 3 0.68% 14 0.47% 4.67
8 瑞典 1 0.23% 33 1.11% 33
9 法国 1 0.23% 13 0.44% 13
10 加拿大 1 0.23% 8 0.27% 8

《表 2.2.6》

表 2.2.6 “超快激光跨尺度微纳制造技术”工程开发前沿中核心专利的主要产出机构

序号 机构 国家 公开量 公开量比例 被引数 被引数比例 平均被引数
1 美国科希伦激光公司 美国 17 3.87% 491 16.49% 28.88
2 美国康宁公司 美国 17 3.87% 297 9.97% 17.47
3 德国通快集团 德国 10 2.28% 55 1.85% 5.5
4 广东工业大学 中国 10 2.28% 26 0.87% 2.6
5 英诺激光股份有限公司 中国 10 2.28% 23 0.77% 2.3
6 北京理工大学 中国 9 2.05% 68 2.28% 7.56
7 华中科技大学 中国 9 2.05% 29 0.97% 3.22
8 通用电气公司 美国 8 1.82% 185 6.21% 23.13
9 清华大学 中国 8 1.82% 31 1.04% 3.88
10 西安交通大学 中国 8 1.82% 21 0.71% 2.63

《图 2.2.5 》

图 2.2.5 “超快激光跨尺度微纳制造技术”工程开发前沿主要国家间的合作网络

《图 2.2.6 》

图 2.2.6 “超快激光跨尺度微纳制造技术”工程开发前沿主要机构间的合作网络


 

领域课题组人员

审核专家组:

组 长:潘云鹤 卢锡城

成 员(按姓氏拼音顺序):

第一组:姜会林 李天初  刘泽金  罗先刚  吕跃广 谭久彬 张广军

第二组:陈志杰 丁文华  段宝岩  苏东林  吴汉明 吴曼青  姚富强  余少华  张  平

第三组:柴天佑 陈 杰 费爱国 卢锡城   潘云鹤   孙凝晖   王耀南   魏毅寅   赵沁平    郑纬民

遴选专家组(按姓氏拼音顺序,标*为学科召集人):

第一组:陈 麟 郝 翔 何 伟 江 天  李 雄 刘建国 陆振刚* 马耀光 单光存  宋瑛林 王 丹 吴冠豪 肖定邦 杨 俊  杨宗银 张福民 张 晗* 张文喜

第二组:蔡一茂 陈晓明 范红旗 冯志红  刘 安 刘雷波* 刘 伟* 马 军 施龙飞  田晓华 王海明 王 俊  魏敬和  吴 琦  易 伟 张 川 张建华* 张 睿 赵 博

第三组:包云岗* 陈 谋* 崔 巍 管乃洋  纪守领 康世胤 李华青 李志平 彭邵亮  石宣化 宋 闯 王红法 谢海斌 辛 斌  熊飞宇 杨 博 张广艳 张 辉 张拳石  张 岳

图情专家:

文 献:陈振英 李 红 赵惠芳 熊进苏

专 利:杨未强 梁江海 刘书雷 吴 集  徐海洋 宋 锐 霍凝坤 耿国桐

执笔组(按姓氏拼音顺序):

研究前沿:

崔铁军 崔 巍 李 明 刘建国 宋 闯  宋瑛林 田 昕 辛 斌 曾永红 张 涛  张悠慧

开发前沿:

陈 谋 董晓文 李 斌 李晓炜 李亚超  刘华锋 刘伟平 王 希 魏敬和 文 力  邢 峣 张 超

工作组:

联络指导:

黄海涛 高 祥 张 佳 张纯洁 邓晃煌  王 兵

项目秘书:

翟自洋 谌群芳 杨未强 陈振英 霍凝坤   胡晓女